Ir ao conteúdo
  • Cadastre-se

Como Conversores Analógico/Digital Funcionam


     415.707 visualizações    Áudio    15 comentários
Como Conversores Analógico/Digital Funcionam

Conversor A/D Sigma-Delta

O conversor A/D sigma-delta – também chamado delta-sigma, conversor A/D de 1 bit ou conversor A/D por sobreamostragem (oversampling) – usa uma abordagem diferente. Nós podemos dividi-lo em dois blocos principais: modulador analógico, que pega o sinal analógico e o converte em uma cadeia de bits, e filtro digital, que converte o sinal em série do modulador em um número digital “usável”.


Figura 13: Diagrama em blocos de um conversor A/D sigma-delta.

O modulador analógico é algo parecido com um conversor A/D por dupla inclinação, apesar de usar um conversor D/A de 1 bit como realimentação. O projeto básico de um modulador sigma-delta pode ser visto na Figura 14.


Figura 14: Projeto básico de um modulador do conversor A/D sigma-delta.

O sinal analógico entrará no primeiro amplificador operacional, que é um integrador somador, para criar uma forma de onda triangular proporcional à tensão do sinal analógico. Esta forma de onda triangular encontrada na saída do integrador é então comparada com o zero volt pelo segundo amplificador operacional, que é um comparador. Ele pode ser considerado um conversor A/D de 1 bit, já que sua saída terá dois estados, alto ou baixo, dependendo se a saída do integrador é positiva ou negativa. A saída do comparador é armazenada em um flip-flop do tipo D, que é uma memória estática de um bit. Este flip-flop trabalha em uma frequência muito alta.

A saída do flip-flop é usada para realimentar o circuito através de um conversor D/A de um bit. Este conversor D/A de um bit converterá basicamente o “0” ou o “1” armazenado no flip-flop em uma tensão de referência positiva ou negativa para ser somado na entrada de um integrador somador.

Portanto, o integrador somador somará a próxima amostra com o resultado da amostra anterior (uma tensão positiva ou negativa), com o propósito de manter zero na saída do integrador.

O resultado é que na saída do flip-flop teremos uma série de zeros e uns que correspondem ao dado amostrado: o nível médio da cadeia de bits representa a tensão média do sinal de entrada analógico.

Como o clock usado no flip-flop é muito alto, o dado é amostrado várias vezes, uma técnica conhecida como sobreamostragem (oversampling). Quanto maior o clock, maior a precisão de um conversor A/D sigma-delta.

Em aplicações do mundo real, o clock do flip-flop será 64 vezes maior do que a taxa de amostragem (ou seja, taxa de sobreamostragem de 64). Portanto, para uma taxa de amostragem de 44.100 Hz, o clock do flip-flop será de 2.822.400 MHz.

Uma outra maneira de aumentar a precisão do conversor A/D e assim reduzir o ruído é implementar um segundo integrador somador entre o integrador original e o comparador. Esta implementação seria chamada conversor A/D sigma-delta de segunda ordem. Conversores A/D comerciais de alto desempenho para aplicações de áudio podem usar moduladores analógicos de quinta ordem.

O problema com conversores A/D sigma-delta é que com a utilização de apenas um bit a relação sinal/ruído seria muito baixa. Se você lembrar nossa fórmula SNR = 6,02 x n + 1,76 dB, os conversores A/D sigma-delta teriam uma relação sinal/ruído de apenas 7,78 dB.

No entanto, devido à sobreamostragem, o ruído de quantização é jogado em altas frequências do espectro, e não espalhado por todo o espectro como ocorre com outros projetos. Este é efeito é chamado shaped noise. Com todo o ruído concentrado em uma porção específica do espectro – em uma faixa de frequência acima do dado amostrado – é muito fácil construir um filtro para removê-lo, aumentando assim a relação sinal/ruído. Este tipo de filtro é conhecido como filtro passa baixa (que permite apenas frequências abaixo de uma certa frequência passarem através dele) e este filtro é feito por um estágio de filtragem digital.

O uso deste tipo de filtro aumenta a relação sinal/ruído em 9 dB para vez em que o clock usado é dobrado (9 dB/oitava). Sem isto a relação sinal/ruído aumenta apenas 3 dB/oitava.

Portanto, um conversor A/D sigma-delta de primeira ordem com uma taxa de sobreamostragem de 64 (2^6) teria uma relação sinal/ruído de 54 dB (9 dB x 6).
Se nós usarmos a fórmula da relação sinal/ruído novamente, chegaremos à conclusão que o número efetivo de bits (ENOB, Effective Number of Bits) pode ser calculado usando:
ENOB = (SNR – 1,76 dB) / 6,02

Isto significa que um conversor A/D sigma-delta de primeira ordem com uma taxa de sobreamostragem de 64 tem o mesmo desempenho que conversores A/D de 8 bits usando outros projetos – usando apenas um bit!

Aumentando o número de integradores somadores aumentamos também a relação sinal/ruído. O aumento da relação sinal/ruído pode ser calculado pela fórmula 6 x L + 3 dB, onde L é o número da ordem. Assim um conversor A/D sigma-delta de segunda ordem fornece uma relação sinal/ruído de 15 dB/oitava, um de terceira ordem fornece uma relação sinal/ruído de 21 dB/oitava, um de quarta ordem fornece uma relação sinal/ruído de 27 dB/oitava e um de quinta ordem fornece uma relação sinal/ruído de 33 dB/oitava.

Uma maneira fácil de calcular o número efetivo de bits é através da fórmula:

ENOB = ((L + 0,5) x n) + 1

Onde L é o número de ordem de um conversor A/D sigma-delta e n é o fator de sobreamostragem dado por 2^n – por exemplo, para uma sobreamostragem de 64x, n seria 6.

Assim um conversor A/D sigma-delta de segunda ordem com sobreamostragem de 64x alcança um número efetivo de bits de 16 (uma relação sinal/ruído de 98 dB), obtendo assim o mesmo desempenho de um conversor A/D de 16 bits convencional com uma construção mais simples e mais barata.

O próximo passo é saber que número digital a cadeia de bits encontrada no modulador analógico representa, que é feita pelo bloco de filtragem digital, que faz também a filtragem passa baixa já explicada.

Como a cadeia de bits é superamostrada (isto é, seu clock é maior do que a taxa de amostragem), este estágio também “reduz” seu clock para o da taxa de amostragem. Este processo é conhecido como decimação.


Figura 15: Diagrama em blocos de um filtro digital sigma-delta.

  • Curtir 1

Artigos similares


Comentários de usuários

Respostas recomendadas

Na atualização desse artigo, de 08/12/2006, encontra-se um erro referente à explicação dos gráficos na página 2.

Para nossas explicações, considere o sinal analógico mostrado na Figura 1. Vamos assumir que este é um sinal de áudio, já que esta aplicação é a mais comum para conversões analógico/digital e digital/analógico. O eixo “x” representa a tensão enquanto que o eixo “y” representa o tempo.

Na verdade, o eixo "y" representa a tensão enquanto que o eixo “x” representa o tempo.

Link para o comentário
Compartilhar em outros sites

Noosa!

Excelente artigo!

Me lembrou perfeitamente da minha segunda aula de Sistemas de Programação I que tive!

Se a aula não tivesse sido há 4 meses, poderia jurar que o professor usou o artigo como base para a aula!

Link para o comentário
Compartilhar em outros sites

Muito bom artigo, dá para entender melhor a lógica usada nestes sistemas moduladores de sinal.

Tenho um comentário para o amigo leandrolnh:

Na atualização desse artigo, de 08/12/2006, encontra-se um erro referente à explicação dos gráficos na página 2.

Na verdade, o eixo "y" representa a tensão enquanto que o eixo “x” representa o tempo.

Pois é, isso pode confundir um pouco, mas não é propriamente um erro, apenas por convenção é considerado o eixo horizontal como o eixo X e o eixo vertical como o eixo Y. Se você reparar bem, não erro nas interpretações sobre os eixos X e Y que o Cassio Lima e o Gabriel Torres fazem sobre o gráfico.

Se não me engano o pessoal do sul do Brasil tem um jeito de escrever as notações diferentes do usual em relação ao resto do Brasil sobre os eixos X e Y ou mais eixos (tenho um professor de SC que escreve assim).

Se tiver alguém de SC ou RS, me diga se isso acontece ou não nas escolas e ou faculdades.

Link para o comentário
Compartilhar em outros sites

Sr Gabriel preciso tirar uma duvida referente a conversores ADC/DAC

no trecho

"Informações digitais não são apenas restritas aos computadores. Quando você fala ao telefone, por exemplo, sua voz é convertida em um sinal digital (esta conversão pode ser feita na central da operadora de telefonia, caso sua linha seja analógica, ou na sua casa, caso você esteja usando uma linha ISDN ou DSL), já que sua voz é um sinal analógico e a comunicação entre as comutadoras de telefonia é feita digitalmente."

No caso DSL me parece haver um erro, pois acho que não ha conversão analogico digital da voz na minha casa ,pois o canal telefonico continua separado do canal de dados, tanto é que usamos um filtro de linha que vai conectado ao aparelho telefonico e também quando desligamos o computador o modem DSL também é desligado como poderia haver tal conversão? Os dados saem do modem DLS de forma digital e vão até o DSLAM na central enquanto a voz sai do aparelho de forma análogica e somente é transformada em digital nos circuitos ADC da central de comutação.

Poderia sim haver conversão analogico digital caso eu estivesse usando voz sobre IP,onde ai sim a voz se

transformaria em dados no meu computador que seria passado ao modem DSL que a transmitira de forma digital.

Espero ansioso pela resposta .

post-148540-13884942494493_thumb.gif

Link para o comentário
Compartilhar em outros sites

Onde encontro uma descrição mais detalhada do conversor AD do tipo SAR? Imagino que o tal de Controle possa estar composto de um registrador de deslocamento e portas AND e que a própria unidade SAR possa estar formada por um latch e um registro de deslocamento, bem como o tal de Buffer por um latch.

Agradeço desde já a resposta, já que a implementação circuital detalhada possa contribuir em muito para a melhor compreensão do assunto!

No caso do conversor A/D por inclinação única faltou dizer uma coisa muito importante qual seja que a constante de tempo RC deve ser igual ao período do clock multiplicado por 2^n - 1, onde n é a quantidade de bits com que se quer codificar a amostra. Outra coisa importante a comentar seria a velocidade que precisa ter o clock para amostrar um sinal com máximo conteúdo harmônico de X KHz.

Abraço

Link para o comentário
Compartilhar em outros sites

Também, no caso do conversor A/D por dupla inclinação, deveria ser dito que o tempo T1 fixo para carga do capacitor com a chave analógica comutada para Vin deve ser 2^n - 1 multiplicado pelo período do clock. Desta feita, também, os valores dos componentes do conjunto RC podem ser escolhidos com maior flexibilidade.

Link para o comentário
Compartilhar em outros sites

Mais uma observação com relação ao artigo em referência.

Na página 9 onde se trata do conversor Sigma-Delta, no segundo parágrafo após a figura 14, onde diz:

A saída do flip-flop é usada para realimentar o circuito através de um conversor D/A de um bit. Este conversor D/A de um bit converterá basicamente o “0” ou o “1” armazenado no flip-flop em uma tensão de referência positiva ou negativa para ser somado na saída de um integrador somador,

corrigir para : a entrada de um integrador somador. (Ver no original em Inglês em: http://www.hardwaresecrets.com/article/How-Analog-to-Digital-Converter-ADC-Works/317/9)

Outrossim, recomendo a leitura do artigo: Delta-sigma modulation na Wikipedia em: http://en.wikipedia.org/wiki/Delta-sigma_modulation

para maiores esclarecimentos.

Abraço a todos

Link para o comentário
Compartilhar em outros sites

  • Administrador
Mais uma observação com relação ao artigo em referência.

Na página 9 onde se trata do conversor Sigma-Delta, no segundo parágrafo após a figura 14, onde diz:

A saída do flip-flop é usada para realimentar o circuito através de um conversor D/A de um bit. Este conversor D/A de um bit converterá basicamente o “0” ou o “1” armazenado no flip-flop em uma tensão de referência positiva ou negativa para ser somado na saída de um integrador somador,

corrigir para : a entrada de um integrador somador. (Ver no original em Inglês em: http://www.hardwaresecrets.com/article/How-Analog-to-Digital-Converter-ADC-Works/317/9)

Outrossim, recomendo a leitura do artigo: Delta-sigma modulation na Wikipedia em: http://en.wikipedia.org/wiki/Delta-sigma_modulation

para maiores esclarecimentos.

Abraço a todos

Obrigado, corrigido! :)

Link para o comentário
Compartilhar em outros sites



Crie uma conta ou entre para comentar

Você precisa ser um usuário para fazer um comentário

Criar uma conta

Crie uma nova conta em nossa comunidade. É fácil!

Crie uma nova conta

Entrar

Já tem uma conta? Faça o login.

Entrar agora

Sobre o Clube do Hardware

No ar desde 1996, o Clube do Hardware é uma das maiores, mais antigas e mais respeitadas comunidades sobre tecnologia do Brasil. Leia mais

Direitos autorais

Não permitimos a cópia ou reprodução do conteúdo do nosso site, fórum, newsletters e redes sociais, mesmo citando-se a fonte. Leia mais

×
×
  • Criar novo...