Ir ao conteúdo
  • Cadastre-se

scorpionmyth2

Membro Pleno
  • Posts

    27
  • Cadastrado em

  • Última visita

Tudo que scorpionmyth2 postou

  1. coloquei e deu EXATAMENTE o mesmo erro EDIT: Vou tentar fazer no visualg
  2. programa { funcao inicio() { caractere j1 logico estado j1 = 'a' se(j1 = a) { escreva ("Porta aberta.") }senao se (j1 = f) { escreva ("Porta fechada.") } } } Eu acho que era para estar compilando, mas da esse erro ai, alguém sabe o que é?

Sobre o Clube do Hardware

No ar desde 1996, o Clube do Hardware é uma das maiores, mais antigas e mais respeitadas comunidades sobre tecnologia do Brasil. Leia mais

Direitos autorais

Não permitimos a cópia ou reprodução do conteúdo do nosso site, fórum, newsletters e redes sociais, mesmo citando-se a fonte. Leia mais

×
×
  • Criar novo...

Ebook grátis: Aprenda a ler resistores e capacitores!

EBOOK GRÁTIS!

CLIQUE AQUI E BAIXE AGORA MESMO!