Ir ao conteúdo

ci para converter BCD => 7 segmentos


torun

Posts recomendados

Postado

pessoal, to montando um projetinho q eu mesmo fiz, e preciso de um ci q faça essa conversão pra mim..

só q, além dele mostrar os números, eu quero q ele mostre as letras do codigo hexadecimal também

por exemplo:

0000 = 0

0001 = 1

0010 = 2

.

.

.

1001 = 9

1010 = A

1011 = B

1100 = C

.

.

.

eu tentei o 4511, mas ele não mostra as letras.

alguem conhece algum ci ( de fácil acesso :D ) q execute essa função?

um abraço a todos

:bandeira:

Postado

desculpe,

mas o q é um FPGA?

e um VHDL?? :(

hehehehehe

bem,

a minha ideia é essa:

eu tenho um código binário de 4 bits.

e eu quero mostrar seu valor num display de leds...

mas eu quero q ele mostre também a parte q tem as letras do codigo hexadecimal

assim:

se na entrada do circuito eu colocar o código binário 0010, ele mostra no display um "2";

se eu colocar um 1001, ele mostra o "9";

já se eu colocar um 1010, ele mostra o "A"; com um 1111, "F"...

não sei se fui claro, mas obrigado pela ajuda!

:bandeira:

Postado

Acho que o que você quer é um conversor de binário para hexa certo? Bom, aí acho que não da pra usar um display de 7 segmentos... ou você usa 2 ou então usa outro tipo de display. Se for realmente necessário mostrar o hexa (A B C D E F) você pode usar os mapar de Karnaugh e construir um laço para quando o binário for 1010 ele ativar determinados segmentos do seu display de tal forma que mostre o A, e assim para as outras letras. Se você puder tudo em binário fica mais fácil, já que a solução anterior requer mais alguns cis contendo portas lógicas. Infelizmente eu não conheço um ci que faça essa conversão diretamente... :( mas espero ter ajudado

Postado

Vamos lá:

Resumidamente, FPGA é uma matrix de blocos lógicos eletricamente programável. Ou seja, é um circuito que pode ser programado via software.

VHDL é uma linguagem para descrição de circuitos digitais.

Dessa maneira, você pode descrever o comportamento do circuito que você precisa utilizando VHDL e fazer a síntese no FPGA. Com isso, você tem o circuito que projetou "virtualmente" funcionando em com componente físco.

O codigo para o circuito que você precisa no caso, em VHDL, ficaria assim:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity hex2led is

Port ( HEX : in std_logic_vector(3 downto 0);

LED : out std_logic_vector(6 downto 0));

end hex2led;

architecture Behavioral of hex2led is

begin

with HEX SELect

LED<= "1111001" when "0001", --1

"0100100" when "0010", --2

"0110000" when "0011", --3

"0011001" when "0100", --4

"0010010" when "0101", --5

"0000010" when "0110", --6

"1111000" when "0111", --7

"0000000" when "1000", --8

"0010000" when "1001", --9

"0001000" when "1010", --A

"0000011" when "1011", --b

"1000110" when "1100", --C

"0100001" when "1101", --d

"0000110" when "1110", --E

"0001110" when "1111", --F

"1000000" when others; --0

end Behavioral;

Se estiver interessado no assunto, posso te passar um material para dar uma olhada... E qualquer dúvida, posso tentar explicar mais detalhadamente como funciona.

Postado
Acho que o que você quer é um conversor de binário para hexa certo?

Exatamente isso! o q eu quero é pegar o byte q estiver na porta paralela e mostrar num display de leds.

na verdade eu não sei se existe algum ci q faça a conversão de 8 bits, só conheço cis de 4 bits, mas nesse caso, seria so usar 2 cis.

Se estiver interessado no assunto, posso te passar um material para dar uma olhada

Opa! me interesso sim! claro! :D

se for possível:

[email protected]

:P

basicamnete, minha ideis é essa mesmo: pegar o byte da porta paralela e mostrar no display..

:bandeira:

:help:

Postado

:D aí broder a ideia é boa mas como pretende mostrar este resultado? aja visto que em um display BCD7 seg. não é possivel mostrar todas as letras do código EXA.qual o tipo de componente você usaria para escrever as letras que o torun precisa mostrar no display? :aranha:

Postado Originalmente por Rodrigo Bittencourt Motta@24 de novembro de 2005, 12:31

Vamos lá:

Resumidamente, FPGA é uma matrix de blocos lógicos eletricamente programável. Ou seja, é um circuito que pode ser programado via software.

VHDL é uma linguagem para descrição de circuitos digitais.

Dessa maneira, você pode descrever o comportamento do circuito que você precisa utilizando VHDL e fazer a síntese no FPGA. Com isso, você tem o circuito que projetou "virtualmente" funcionando em com componente físco.

O codigo para o circuito que você precisa no caso, em VHDL, ficaria assim:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity hex2led is

    Port ( HEX : in std_logic_vector(3 downto 0);

          LED : out std_logic_vector(6 downto 0));

end hex2led;

architecture Behavioral of hex2led is

begin 

  with HEX SELect

  LED<= "1111001" when "0001",  --1

        "0100100" when "0010",  --2

        "0110000" when "0011",  --3

        "0011001" when "0100",  --4

        "0010010" when "0101",  --5

        "0000010" when "0110",  --6

        "1111000" when "0111",  --7

        "0000000" when "1000",  --8

        "0010000" when "1001",  --9

        "0001000" when "1010",  --A

        "0000011" when "1011",  --b

        "1000110" when "1100",  --C

        "0100001" when "1101",  --d

        "0000110" when "1110",  --E

        "0001110" when "1111",  --F

        "1000000" when others;  --0

end Behavioral;

Se estiver interessado no assunto, posso te passar um material para dar uma olhada... E qualquer dúvida, posso tentar explicar mais detalhadamente como funciona.

Postado

aí o torun você poderia usar um display alfanumétrico com ele você pode escrever letras e números talvez te atenda no seu projeto,mas se você quiser fazer usando um display LCD de 2/ 16 linhas por exemplo aí você pode usar um micro_controlador para fazer isto e muito mais..eu tambem não uso esta tecnologia (FPGA/VHDL)que o Rodrigo falou.bom já ouví alguma coisa a respeito mas eu uso outros programas para desenvolvimento e simulação de meus projetos.mas nesta vida estamos sempre aprendendo quem sabe num futuro próximo.... :D

Postado
Postado Originalmente por bradokkk@24 de novembro de 2005, 22:14

:D aí broder a ideia é boa mas como pretende mostrar este resultado? aja visto que em um display BCD7 seg. não é possivel mostrar todas as letras do código EXA.qual o tipo de componente você usaria para escrever as letras que o torun precisa mostrar no display? :aranha:

Os FPGAs são vendidos em conjunto com placas que na maioria das vezes possuem recursos para entrada e saída de dados e interação com o usuário. Por exemplo: http://www.xess.com/prod034.php3. Essa placa da XESS vem com o FPGA Spartan-II da Xilinx. Dentre os recursos dela têm-se uma porta parela, display de 7 segmentos, porta USB, conector VGA, botões, etc.

Em um BCD de 7 segmentos não é possível mostrar as letras do código hexa???? Precisamos de 4 casas binárias para representar todas as possíveis combinações do formato hexadecimal (0 a F), de 0000 até 1111. Até já fiz algo parecido (se não for a mesma coisa) com que o torun quer fazer. Fiz a síntese do código VHDL que passei anteriormente num FPGA e o usuário pressionava um botão para contar de 0 a F (mostrado num display de 7 segmentos).

Postado

é possivel mostrar as letras de A a F num display de 7 segmentos sim...

o que eu queria era simplificar o projeto ao máximo, usando apenas alguns cis q já fizessem todo o trabalho...

vou continuar procurando por cis assim... se eu achar eu posto aqui

obrigado pelo curso rodrigo! vou dar uma olhada nele hoje mesmo :D

:bandeira:

Postado
Postado Originalmente por torun@25 de novembro de 2005, 01:09

é possivel mostrar as letras de A a F num display de 7 segmentos sim...

o que eu queria era simplificar o projeto ao máximo, usando apenas alguns cis q já fizessem todo o trabalho...

vou continuar procurando por cis assim... se eu achar eu posto aqui

obrigado pelo curso rodrigo! vou dar uma olhada nele hoje mesmo  :D

:bandeira:

Beleza Ricardo.

Qualquer coisa estou a disposição.

Postado

:D Bom achei legal e visitei a página do link que você mandou. achei interessante parece ser + _ com as placas de desenvolvimento para micro_controladores. achei o preço meio salgado! e também não vi nenhum LCD para você ler um texto por exemplo ! mas diz aí onde posso encontrar mais informações sobre o assunto. desde já grato pela atenção.. :P

Postado Originalmente por Rodrigo Bittencourt Motta@25 de novembro de 2005, 00:05

Os FPGAs são vendidos em conjunto com placas que na maioria das vezes possuem recursos para entrada e saída de dados e interação com o usuário. Por exemplo: http://www.xess.com/prod034.php3. Essa placa da XESS vem com o FPGA Spartan-II da Xilinx. Dentre os recursos dela têm-se uma porta parela, display de 7 segmentos, porta USB, conector VGA, botões, etc.

Em um BCD de 7 segmentos não é possível mostrar as letras do código hexa???? Precisamos de 4 casas binárias para representar todas as possíveis combinações do formato hexadecimal (0 a F), de 0000 até 1111. Até já fiz algo parecido (se não for a mesma coisa) com que o torun quer fazer. Fiz a síntese do código VHDL que passei anteriormente num FPGA e o usuário pressionava um botão para contar de 0 a F (mostrado num display de 7 segmentos).

Postado
Postado Originalmente por bradokkk@25 de novembro de 2005, 22:25

:D  Bom achei legal e visitei a página do link que você mandou. achei interessante parece ser + _ com as placas de desenvolvimento para micro_controladores. achei o preço meio salgado! e também não vi nenhum LCD para você ler um texto por exemplo ! mas diz aí onde posso encontrar mais informações sobre o assunto. desde já grato pela atenção.. :P

A placa do link anterior pode ser conectada a essa outra placa http://www.xess.com/prod037.php3 para estender que oferece muito mais recursos. Dentre eles existe uma área de prototipação, onde você pode inserir qualquer componente eletrônico para interfacear com o FPGA através dos pinos de I/O. Se você tiver interesse em FPGAs, recomendo dar uma lida nesse tutorial http://www.eecg.utoronto.ca/~jayar/pubs/brown/survey.pdf e nesse paper http://www-isl.stanford.edu/groups/elgamal...ations/J029.pdf. Se você quiser saber mais sobre a linguagem VHDL, recomendaria fazer o curso Evita que é disponilizado gratuitamente pelo empresa Aldec http://www.aldec.com.

PS: Todos os materiais são em inglês. Se quizeres posso te enviar por e-mail meu trabalho de graduação que tem uma seção sobre FPGAs e VHDL e sobre a placa que utilizei.

Postado

:aranha: ok so mais um detalhe, com micro_controladores da linha PIC que é o que trabalho eu posso proteger o conteudo do meu programa para não ser copiado com o FPGA tambem pode ser feito ou não ? :P

Postado Originalmente por Rodrigo Bittencourt Motta@26 de novembro de 2005, 01:42

A placa do link anterior pode ser conectada a essa outra placa http://www.xess.com/prod037.php3 para estender que oferece muito mais recursos. Dentre eles existe uma área de prototipação, onde você pode inserir qualquer componente eletrônico para interfacear com o FPGA através dos pinos de I/O. Se você tiver interesse em FPGAs, recomendo dar uma lida nesse tutorial http://www.eecg.utoronto.ca/~jayar/pubs/brown/survey.pdf e nesse paper http://www-isl.stanford.edu/groups/elgamal...ations/J029.pdf. Se você quiser saber mais sobre a linguagem VHDL, recomendaria fazer o curso Evita que é disponilizado gratuitamente pelo empresa Aldec http://www.aldec.com.

PS: Todos os materiais são em inglês. Se quizeres posso te enviar por e-mail meu trabalho de graduação que tem uma seção sobre FPGAs e VHDL e sobre a placa que utilizei.

Postado
Postado Originalmente por bradokkk@26 de novembro de 2005, 09:03

:aranha:  ok so mais um detalhe, com micro_controladores da linha PIC que é o que trabalho eu posso proteger o conteudo do meu programa para não ser copiado com o FPGA tambem pode ser feito ou não ?  :P

Nem precisa proteger porque o que acontece na realidade é que o código VHDL antes de ser copiado para o FPGA é transfomado em uma bitstream que representa os chaveamentos internos do FPGA realizar a lógica do código. Ou seja, não é possível conseguir o VHDL depois que a síntese foi feita no FPGA.

Postado

:D ok existe algum fórum ou literatura em português ou mesmo curso com esta linguagem? como posso saber mais sobre o assunto ? e quanto as placas de desenvolvimento ? desde já meus agradecimentos !!

Postado Originalmente por Rodrigo Bittencourt Motta@28 de novembro de 2005, 17:43

Pois é, a grande vantagem de você utilizar a linguagem VHDL é que você pode programar em alto nível. Enquanto você precisa de inúmeras linhas de código em assembly para fazer uma aplicação, em VHDL precisaria bem menos.

Postado
Postado Originalmente por bradokkk@28 de novembro de 2005, 18:34

:D ok existe algum fórum ou  literatura em português ou mesmo curso com esta linguagem? como posso saber mais sobre o assunto ? e quanto as placas de desenvolvimento ? desde já meus agradecimentos !!

Infelizmente o material em português ainda é raro. Para saber mais sobre a tecnologia FPGA você pode acessar o site de alguns fabricantes como Xilinx e Altera e olhar os datasheets dos componentes. Para aprender VHDL recomendo novamente fazer o curso Evita da Aldec. No site da Aldec você também pode baixar um demo da ferramenta de programação Active-HDL ou, senão, baixar o Kit de desenvolvimento ISE na Xilinx, que é gratuito (inclusive lá você também encontra um tutorial que mostra desde a descrição de um circuito em VHDL até a síntese em FPGA). Com o Kit da Xilinx, tendo uma placa com um FPGA e sabendo um pouco sobre VHDL você já pode começar a descrever alguns cricuitos, fazer a síntese no FPGA e ver tudo funcionando.

Postado
Postado Originalmente por Hogmoho@29 de novembro de 2005, 20:14

Existe um Ci, o 9368 que faz essa conversão que você quer. Só tem um problema ele é meio raro.

era exatamente o q eu queria!! :palmas: :palmas: :palmas: :-BEER:-BEER

muito obrigado! vou ver se encontro esse ci na minbha cidade!!

um abraço!

:bye:

:bandeira::bandeira:

Postado

:P ok meu nobre devido a facilidade de encontrar e programar os micro controladores da linha pic eu agradeço a sua atenção mas por em quanto vou continuar com o assenbly do pic mesmo até que esta tecnologia fique mais popular , fico grato pelas dicas e informações. :P

Postado Originalmente por Rodrigo Bittencourt Motta@29 de novembro de 2005, 16:56

Infelizmente o material em português ainda é raro. Para saber mais sobre a tecnologia FPGA você pode acessar o site de alguns fabricantes como Xilinx e Altera e olhar os datasheets dos componentes. Para aprender VHDL recomendo novamente fazer o curso Evita da Aldec. No site da Aldec você também pode baixar um demo da ferramenta de programação Active-HDL ou, senão, baixar o Kit de desenvolvimento ISE na Xilinx, que é gratuito (inclusive lá você também encontra um tutorial que mostra desde a descrição de um circuito em VHDL até a síntese em FPGA). Com o Kit da Xilinx, tendo uma placa com um FPGA e sabendo um pouco sobre VHDL você já pode começar a descrever alguns cricuitos, fazer a síntese no FPGA e ver tudo funcionando.

Postado

ola , use um pic 16f628 +/_ r$12,00 o custo e nele voce pode programar esta função que voce quer , caso tenha duvidas ou precisando de ajuda entre em contato comigo que posso lhe ajudar a gravar o pic

valeu wilson_liesenberg

Arquivado

Este tópico foi arquivado e está fechado para novas respostas.

Sobre o Clube do Hardware

No ar desde 1996, o Clube do Hardware é uma das maiores, mais antigas e mais respeitadas comunidades sobre tecnologia do Brasil. Leia mais

Direitos autorais

Não permitimos a cópia ou reprodução do conteúdo do nosso site, fórum, newsletters e redes sociais, mesmo citando-se a fonte. Leia mais

×
×
  • Criar novo...

LANÇAMENTO!

eletronica2025-popup.jpg


CLIQUE AQUI E BAIXE AGORA MESMO!