Ir ao conteúdo

Outro Cannot open file "inputSignal.dat"; it is already open. - error VHDL


Ir à solução Resolvido por Ivander,

Posts recomendados

  • Solução
Postado
-------------------------------------------------
   -- Leitura do vetor de estimulos fornecido pelo arquivo
   --inputSignal.dat gerado no Octave.
   PROCESS
      FILE     fid1    : TEXT;
      VARIABLE line1   : LINE;
      VARIABLE sinalAD : std_logic_vector(7 downto 0);
      VARIABLE sinalAD_conv: std_logic_vector(7 downto 0);
   BEGIN
      file_open(fid1,"inputSignal.dat",READ_MODE);
      sinal_in <= (OTHERS => '0');
      wait until reset='0';
      WHILE NOT endfile(fid1) LOOP
        
         wait until rising_edge(clk);
         readline(fid1,line1);
         read(line1, sinalAD);
        sinalAD_conv(7) := not sinalAD(7);
        sinalAD_conv(6 downto 0):= sinalAD(6 downto 0);
         sinal_in <= sinalAD_conv;
     
      END LOOP;
   END PROCESS;


Estou tentando verificar se o arquivo encerrou em uma leitura de arquivos no VHDL utilizando o endfile(), se utilizo TRUE como argumento do while o arquivo código roda, se utilizo endfile(fid1) como argumento do while da o seguinte erro:

# ** Error: (vsim-3341) Cannot open file "inputSignal.dat"; it is already open.
#    Time: 870 ns  Iteration: 0  Instance: /tbfir

não sei porque estou abrindo o arquivo duas vezes como informado no erro; meu intuito era apenas verificar se o fim do arquivo chegou para encerrar o loop, alguém pode me ajudar me explicando meu erro?

Postado

Resolvi retirando "file_open(fid1,"inputSignal.dat",READ_MODE);"

Pois o endfile(fid1) como sintaxe do VHDL 1987 já abre um arquivo.

Crie uma conta ou entre para comentar

Você precisa ser um usuário para fazer um comentário

Criar uma conta

Crie uma nova conta em nossa comunidade. É fácil!

Crie uma nova conta

Entrar

Já tem uma conta? Faça o login.

Entrar agora

Sobre o Clube do Hardware

No ar desde 1996, o Clube do Hardware é uma das maiores, mais antigas e mais respeitadas comunidades sobre tecnologia do Brasil. Leia mais

Direitos autorais

Não permitimos a cópia ou reprodução do conteúdo do nosso site, fórum, newsletters e redes sociais, mesmo citando-se a fonte. Leia mais

×
×
  • Criar novo...

LANÇAMENTO!

eletronica2025-popup.jpg


CLIQUE AQUI E BAIXE AGORA MESMO!