Ir ao conteúdo
  • Cadastre-se

Conversor de Binário para Hexadecimal num diplasy 7 segmentos


bruno1727

Posts recomendados

   Pessoal, tenho que fazer meu primeiro circuito, que consiste em converter número binário para hexadecimal, apresentando o resultado num display de 7 segmentos,. (1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F).

   Para isso usarei um CI decodificador de binário para hexadecimal, o problema que o 9368 e o 7448 não estão sendo mais fabricados.

   Sendo assim, fiz o mapa de karnaugh para a tabela verdade do circuito e obti as 7 expressões lógicas de cada segmento, o problema é que cada expressão tem muitas portas cada uma, ficaria inviável colocar isso em prática

 

 Qual seria um CI alternativo? Não necessariamente precisa ser um decodificador de binário para hexadecimal, mas uma lógica que funcione como tal

 

 

  Estou no terceiro ano de engenharia da computação

  Tenho que usar CI's da família TTL

Link para o comentário
Compartilhar em outros sites

@aphawk

 

Olá paulo, dei uma olhada no CI que você me indicou, ele mostra os números de 1 a 9, mas a partir dai ele começa a mostrar uns símbolos estranhos em vez das letras que deveria mostrar

 

Aqui está a tabela-verdade que encontrei desse CI

http://html.alldatasheet.com/html-pdf/51080/FAIRCHILD/74LS47/815/2/74LS47.html

Link para o comentário
Compartilhar em outros sites

@todos,

O problema é que o professor só aceita o uso da família TTL .... Isso elimina tudo o que veio depois de 1975 kkkkkk !

Ou seja, vai ter de usar aquelas portinhas e inversores tipo 7400, 7404, 7408, e por aí vai.... Creio que é apenas para que o pessoal aprenda a fazer mapas de Karnaugh..... !!!

Mas não consigo resistir a fazer um comentário : quando eu fazia o terceiro ano da faculdade, isso em 1980, todos os trabalhos do tipo já eram usando os Cmos CD40xxx .... Poxa ver um professor exigir tecnologia da década de 1970 em 2015 ( 45 anos depois ... ) sinceramente me revolta, pois nunca vão precisar fazer mapa de karnaugh na vida, muito menos trabalhar com os TTLs . Enfim, isto é o Brasil hoje.

Este é mais um dos motivos que me fazem ir na Paulista dia 15 de março. Temos de mudar isso.

Paulo

  • Curtir 1
Link para o comentário
Compartilhar em outros sites

 

Mas não consigo resistir a fazer um comentário : quando eu fazia o terceiro ano da faculdade, isso em 1980, todos os trabalhos do tipo já eram usando os Cmos CD40xxx .... Poxa ver um professor exigir tecnologia da década de 1970 em 2015 ( 45 anos depois ... ) sinceramente me revolta, pois nunca vão precisar fazer mapa de karnaugh na vida, muito menos trabalhar com os TTLs . Enfim, isto é o Brasil hoje.

Paulo,não quero gerar discurssões,mas um professor pedir para um aluno do terceiro ano da faculdade,que faça este circuito usando microcontrolador,não esta querendo que seus alunos usem a cabeça.

Com um microcontrolador,até meu primo de 11 anos faz!

É muito fácil ser 'professor' pedindo que use um microcontrolador.

  • Curtir 1
Link para o comentário
Compartilhar em outros sites

  • Membro VIP

Paulo,não quero gerar discurssões,mas um professor pedir para um aluno do terceiro ano da faculdade,que faça este circuito usando microcontrolador,não esta querendo que seus alunos usem a cabeça.

Com um microcontrolador,até meu primo de 11 anos faz!

É muito fácil ser 'professor' pedindo que use um microcontrolador.

De repente o professor quer que o aluno aprenda a lógica e não apenas programação em alto nível.

Uma das ´maiores dificuldades pra quem não conhece lógica digital é programar em assembly (baixo nível).

Uma sugestão é ver o diagrama interno de um decoder binário para 7seg. e adicionar o que falta para os dígitos A a F.

No caso do 4511 basta substituir as portas usadas internamente por equivalentes TTL.

Pode-se eliminar os circuitos de LATCH e Tri-state.

post-387879-0-24322300-1426237401_thumb.

 

 

74ls47_logic.png

  • Curtir 2
Link para o comentário
Compartilhar em outros sites

@vtrx e  @

 

Opa, que isso, não estou discutindo nada kkkk, apenas dei a minha opinião.

 

Mas se repararem o primeiro post, verão que o solicitante está justamente alegando que não é nada prático fazer isso utilizando portas lógicas TTL, e creio que por dois bons motivos :

 

1 - Dificuldade enorme em se localizar CI's TTL, mesmo de portas lógicas hoje em dia !

2 - Imaginaram montar isso em uma protoboard ?

 

O que eu quis dizer no meu ultimo post não foi nada a respeito de microprocessadores, e sim, por que não deixar usar a família CD40XXX ( Cmos ) que ainda se encontra facilmente hoje em dia ?

 

Dividiria o problema em 2 :

 

1 - Apresentar um circuito otimizado para um decodificador BCD to 7 Segments with Hexadecimal, mediante uso de mapas de Karnaugh.

2 - Montar O MESMO CIRCUITO utilizando CIs da famíla CMOS ( portas lógicas apenas ).

 

Seria muito mais simples de se implementar na prática esse circuito, pois afinal terceiro ano ainda é melhor "fincar" os conceitos de lógica digital. 

 

 

Mas, pera lá, o professor não está ensinando futuros engenheiros ?

 

Por quê em vez de insistir em usar TTLS, falar para os alunos utilizarem CMOS e usarem os osciloscópios digitais para analisar o funcionamento do circuito ?

 

Pois é muito mais interessante e instrutivo  ver os "glitches" que ocorrem na comutação das diversas portas lógicas, por diferenças de tempos de propagação!

 

Isso sim é um trabalho que iria ensinar muito mais para o futuro engenheiro do que falar para implementar um circuito procurando moscas brancas da época de 1970... faltou pouco para pedir que implementassem um flip-flop com válvulas ....

 

Já pensaram o quanto um aluno iria aprender verificando os ruídos nas linhas de alimentação quando ocorrem as comutações, com todos os glitches ???? E depois, fazendo filtragem em apenas um ponto da alimentação, e finalmente distribuindo capacitores de desacoplamento ao longo das linhas ?

 

Em uma segunda etapa, eu pediria para os alunos implementarem o circuito com mapas de karnaugh E igualando os tempos de propagação para se evitar glitches. E verificar a queda dos níveis de ruídos nas linhas. Quantos DB caiu o ruído ???

 

Em seguida, utilizar um contador binário e fazer uma tabela de consumo do circuito E ruído na alimentação VERSUS velocidade do contador binário que gera os sinais bcd na entrada do circuito. Usar clock de 100 Hz, 10 KHz, e 1 Mhz.

 

O que se percebe analizando a tabela resultante ?

 

O que poderia ser feito no protoboard para diminuir o ruído ?

 

Qual seria o consumo esperado se a frquência subisse para 20 Mhz ?

 

 

Repararam a quantide muito maior de conceitos que seriam aprendidos e que ficariam sempre na memória do aluno ?

 

Oras, isso sim é uma tarefa para um futuro engenheiro !

 

Afinal, sem esse conhecimento mais "profundo", qual a diferença entre um engenheiro e um técnico de eletrônica ? Oras, do jeito que ensinam hoje, parece que é nenhuma !

 

 

Muita gente não sabe disto aqui no Fórum, mas existiram centenas de microprocessadores que acabaram sendo retirados do mercado ou tendo o seu microcódigo atualizado via BIOS justamente por apresentarem erros de funcionamento devido aos "glitches" internos !  São falhas de projeto que consumiram milhões e milhões de dólares !

 

Bom, se continuar vou acabar refazendo mais uma das minhas enormes queixas sobre o ensino de hoje. Melhor parar por aqui....

 

Paulo

  • Curtir 3
Link para o comentário
Compartilhar em outros sites

Boas notícias, encontrei o bendito DM9368(era o último da loja rs) que decodifica binário em hexadecimal, e parece que eu fui o único da sala que encontrou. Ainda não tive a oportunidade de conversar com professor á respeito do projeto jurássico que ele pediu pra fazermos :P 
 

@aphawk

   Realmente a engenharia da computação aqui no Brasil é fraca em relação a outros países. Porém a matéria Sistema Digitais 1 e 2 foram o primeiro contato que tive com binário, portas lógicas, fli flops etc. E creio que por se o primeiro circuito prático que fizemos, o professor não colocou uma tecnologia tão avançada. 

  Nos próximos semestres teremos matérias especificas para microprocessadores e microcontroladores, o qual estou bastante ansioso.     

 

 

 

xykoTE

Muitos dos meus colegas usaram esse esse CI, irão entregar o display indo de 0 a 9 mesmo, mas irei dar essa ideia à eles, obrigado

 

    

Link para o comentário
Compartilhar em outros sites

  • Membro VIP

   Pessoal, tenho que fazer meu primeiro circuito, que consiste em converter número binário para hexadecimal, apresentando o resultado num display de 7 segmentos,. (1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F).

   Para isso usarei um CI decodificador de binário para hexadecimal, o problema que o 9368 e o 7448 não estão sendo mais fabricados.

   Sendo assim, fiz o mapa de karnaugh para a tabela verdade do circuito e obti as 7 expressões lógicas de cada segmento, o problema é que cada expressão tem muitas portas cada uma, ficaria inviável colocar isso em prática

 

 Qual seria um CI alternativo? Não necessariamente precisa ser um decodificador de binário para hexadecimal, mas uma lógica que funcione como tal

 

 

 

 

 

I'm in transit

 

Estou no terceiro ano de engenharia da computação

 Tenho que usar CI's da família TTL

 

Meu deus... no meu 2a ano técnico já era obrigado a saber isto.

 

Só minha observação:

No fim de engenharia da computação

 

Sai como engenheiro e não pode discutir(debater) com um técnico?

Link para o comentário
Compartilhar em outros sites

@,

É, meu amigo, excelente observação.... Só complementando : imagine também como saem os técnicos hoje em dia...

São os novos tempos. E o pior : só tende a piorar. Nestas horas que sinto saudades das demonstrações matemáticas do @MOR, me lembra uma época onde APRENDER significava ENTENDER, não DECORAR como é feito hoje.

Ultimamente ando descobrindo o que os dinossauros sentiam enquanto eram extintos....

Paulo

  • Curtir 1
Link para o comentário
Compartilhar em outros sites

I'm in transit

 

Estou no terceiro ano de engenharia da computação

 Tenho que usar CI's da família TTL

 

Meu deus... no meu 2a ano técnico já era obrigado a saber isto.

 

Só minha observação:

No fim de engenharia da computação

 

Sai como engenheiro e não pode discutir(debater) com um técnico?

Realmente você está coerente, eu fui muito equivocado ao dizer para ele usar uC, eu também sou universitário e eu me lembro que no meu primeiro ano de faculdade fui no laboratório fazer experiências com CIs TTL, graças a essas experiências eu aprendi na pratica e vi que o teorema de the morgan e o mapa karnaugh tinha sua real aplicação.

 

Realmente o Paulo está coerente o ensino está a minguas, um exemplo clássico que eu posso dar é o uso de arduino nos cursos de eletrônica isso atrapalha o ensino já que o sujeito pega tudo pronto, nada contra o arduino mas acredito que a facilidade para um estudante não traz benefícios, eu me lembro  quando comecei aprender uC foi com da família 16 em assembly.

Peço desculpa a todos.

Link para o comentário
Compartilhar em outros sites

@Lucas Pereira de Souza,

Opa, não precisa se desculpar, isso acontece. Eu faço muito isso também...

Acho que precisamos definir melhor o que é um curso de Eletrônica hoje, não é ? Tem de ter muita parte teórica antes de partir para algo como Arduíno.

De que adianta o sujeito aprender a programar um arduíno e não saber como fazer as interfaces com o mundo externo ?

Já ví aqui pessoas que não sabiam como ligar um relé ao arduino.... E muitos não tem nenhuma noção sobre como utilizar interrupção nas entradas do arduino, e ainda assim insistem em usar....

Embora cada vez mais a Eletrônica se baseia em uso de microcontroladores, temos de ensinar nos cursos sempre primeiro como fazer as coisas da maneira antiga, de maneira analógica, evitando usar de cara qualquer tipo de microcontrolador. Ou os futuros profissionais não terão uma sólida base teórica.

Claro que entendemos que hoje em dia uma TV tem seu funcionamento graças a vários módulos digitais, assim como um rádio FM ou um CD player, e que para serem consertados exigem conhecimentos em microcontroladores, portanto isto tem de ser ensinado também aos técnicos de Eletrônica.

Mas tudo tem começo, meio e fim. Trocar as etapas é meter os pés pelas mãos.

O pessoal tem de ensinar sobre o Arduíno como ele é na verdade : uma plataforma de desenvolvimento. Pode ser utilizada em milhares de aplicações de ensino também, como por exemplo fazer um pequeno osciloscópio digital com ele, ou como ele pode servir como um instrumento versátil gerando frequências, medindo tensões, servindo como um analizador lógico de vários canais, etc, mas ANTES disto tudo a parte analógica tem de ser ensinada.

Mais uma vez, Lucas, não precisa pedir desculpas aqui, o que importa é a sua vontade em colaborar !

Paulo

  • Curtir 2
Link para o comentário
Compartilhar em outros sites

  • Membro VIP

Pergunta CLáSSICA:

O que é melhor pra voce.

Teotia ou prática?


Né! um mc pode até ser mais barato e claro, muito mais versátil. Ou se preferir, um fpga.

 

e o saudoso 4511? também já foi pras cucuia? r.i.p.

abç

Me desclpe,

Minha amiga, nos demonstre como fazer com ports( OR, AND, XOR ) princício.?

Link para o comentário
Compartilhar em outros sites

  • Membro VIP

Realmente você está coerente, eu fui muito equivocado ao dizer para ele usar uC, eu também sou universitário e eu me lembro que no meu primeiro ano de faculdade fui no laboratório fazer experiências com CIs TTL, graças a essas experiências eu aprendi na pratica e vi que o teorema de the morgan e o mapa karnaugh tinha sua real aplicação.

 

Realmente o Paulo está coerente o ensino está a minguas, um exemplo clássico que eu posso dar é o uso de arduino nos cursos de eletrônica isso atrapalha o ensino já que o sujeito pega tudo pronto, nada contra o arduino mas acredito que a facilidade para um estudante não traz benefícios, eu me lembro  quando comecei aprender uC foi com da família 16 em assembly.

Peço desculpa a todos.

Já perguntou pra um professor de faculdade o que é um microcontrolador, hoje em dia?

A maioria vai responder PIC....   kkk

  • Curtir 1
Link para o comentário
Compartilhar em outros sites

  • Membro VIP

Pergunta CLáSSICA:

O que é melhor pra voce.

Teotia ou prática?

Me desclpe,

Minha amiga, nos demonstre como fazer com ports( OR, AND, XOR ) princício.?

 

 

Sorry. estou fora das aplicaçoes pedagógicas. Foi só pra saber que há outras opções. Há quem não saiba que há, sabia?. Como dica, pode tentar o quartus (o qual já tive contato).

E lá vai mais uma: linguagem vhdl. E a derradeira: c to hardware. Um pouco avançados pros 1970's = nós mas... quiçá não apetece, não pra esta, mas pra próxima geração? que estarão lendo isso sem nossa presença kk

Link para o comentário
Compartilhar em outros sites

@ e @Isadora Ferraz

O pior de tudo é perceber que o que o professor queria mesmo era que montassem com o 9368.... Nada de otimizar, pesquisar, fazer com as vårias portas...... Terceiro ano de Engenharia e a montagem prática é só isso, um CI e um display... Depois de tudo o que escreví aqui me dá vontade de jogar o dedo fora ( "caneta" do Ipad ) .... Cadê pesquisa, experimentos, dúvidas, enfim, aprender ???? Ecaaaa de ensino ...

Paulo

Link para o comentário
Compartilhar em outros sites

Engenharia de verdade, é saber projetar, desde uma coisa analogica, ate coisas mais complexas e modernas!

Com uma certa certeza, ele nem sabe a diferenca de TTL e CMOS, fale se souber!

Eu estudei TTL, e CMOS, é o que faz eu saber todos os problemas de um e do outro, o porque, projeto, etc...

Estudando TTL nao me fez desaprender o CMOS, so incluiu, é aprendizagem pow, ate Valvula aprendi!!! Kkkkkk

Hoje em dia, estão fazendo tudo com microcontrolador ou arduino, o pessoal fazem projetos com eles, mas eles fazem projetos discreto? Tipo um amplificador transistorizado? Uma fonte chaveada? Nao fazem... So aprendem o que um transistor faz, aprende algumas certas polarizacoes, mas usar todos os componentes e projetar tal coisa nao fazem!

Aprendem a programar um arduino e usar, mas nao aprendem as estruturas de um micro controlador, suas logicas, arquitetura... Só vão saber programar e usar, mas fazer nao!

Engenheiro é isso, saber projetar qualquer coisa, seja alguma coisa com valvula, um amplificador transistorizado.. Uma fonte chaveada... Algum circuito logico, seja desde projetar sua logica discreta, e com ci...projetar Um processador...

Esse sim, vai ser um grande engenheiro!!

Mas o engnheiro de hoje, sabe basicamente programar e usar um arduino, polarizar um transistor em emissor comum/coletor comum, usa lo como uma chave, talvez fazer um amplificadorsinho de tensão em classe A, transistor com resistor de emissor e coletor, acho que ate isso...

Nao sao capazes de projetar circuitos controladores complexos discreto, que envolva integracoes e varios tipos de malhas complexas com centenas de transistores, tudo junto...

Se no USA os engenheiros so aprendessem o que se usa hoje em dia, ja teria falido as empresas que fabricam os propios dispositivos que vocês usam hj! Intel nao conseguiria mais contratar... Iria falir.. Apple nao seria mais capaz de projetar seus chips processadores para seus celulares, etc... AMD, microchip, atmel, nao fariam mais os microcontroladores que vocês pegam prontinho e usam pra programar...

Brasil nao faz nada mesmo, então pode se considerar algumas coisas:

No brasil, os engenheiros nao precisaram fazer projetos de nivel, então estão salvos kkkk

Agora, pra refletir, ou os engenheiros nao sao bons porque Nao precisa mesmo kkkkk, ou é o brasil que nao projeta nada devido que nao tem engenheiros competentes...

Eu aposto na segunda, pois industrias nasce quando se tem competencia...

Conclusão: é necessario que se aprenda tudo da eletronica, se é engenheiro então deve saber projetar tudo, ate um micro processador com portas logicas OR AND NOT! Nao existe a frase: "isso é tecnologia antiga" "nao se usa mais isso, você nao vai precisar usar ou saber disso" um engenheiro e projetista mesmo, sabe tanto TTL e CMOS, mesmo nao usando TTL.

Ja que é um ensino, o ideal que se aprenda tudo mesmo! Isso que lhe fara ser maior que o demais.

Quando eu era mais jovem, preferia sempre projetar coisas discreta do que com CI, muita gente criticava, mas e agora? A diferença e absurda kkkkk

Enfim, o ensino brasileiro é pessimo e atrasado, no 3° ainda vendo esse tipo de coisa? Ja começa por ai! Com 2 anos de estudo de eletronica eu ja projetava amplificadores de audio transistorizados e fontes chaveadas... E tantas coisas discretas... Ate ai Nunca tinha visto microcontroladores, comecei a estudar eles em um dia e em 3 dias ja estava programando em assembly, e pior, eu aprendi a programar em BINARIO, eu monto meu programa em binario, ja pronto pra ser escrito na memoria e rodar, exatamente como fazia la antigamente, com programas, acho que muitos nem sabem fazer tudo com as próprias maos, é velharia mesmo mas a recompensa vem!!! Kkkk E em 5 dias ja estava formando a ideia de projetar um microprocessador, e em duas semanas projetei um de 8 bits! Projetei mesmo, tudo com portas logicas, ate a memoria ram, fiz desde os registradores, enderecadores.. Etc... Isso tudo porque estudei a eletronica velha dos anos 70! Estudava esquemas de radios transistorizados dessa epoca, sintonizadores... Tudo era transistorizado! Sempre pensei dessa forma, me resultou nisso! E se eu falasse a minha idade... Ninguem acreditaria!!! Nem sequer me alistei! :P tenho muito que aprender ainda, e continuo vom esse jeito de aprender, sempre saber o comeco de tudo!!

O curso dele é engenharia da computacao, nao é uma engenharia eletronica, nao precisava de tanto, mas ja que estavam levantando critica sobre o assunto... :P kkkkk

  • Curtir 3
Link para o comentário
Compartilhar em outros sites

Uma curiosidade pra complementar, Steve Wozniak, filho de engenheiro eletrônico, e se nao me engano, Steve nem cursou uma faculdade! Aprendia muita coisa com o pai...

Os dois Steve, Steve Jobs e Steve Wozniak eram apenas garotos na epoca!!!

Woz sabia combinar chips digitais e fazer a logica que quiser, fazia tudo combinando chips!!! A eletronica daquela epoca é a antiga, ele entendia a nivel discreto mesmo!

Nao me conformo na desatençao da velha eletronica que se tem hoje em dia. O da atualiadade, que nao sabe o negocio a nivel discreto, nunca conseguiriam projetar um chip... Um micro.. Um pc!!!!

Se você pesquisar, vai achar varios projetos de gringos que projetaram seus proprios microcontroladores com os elementos logicos basicos (portas logicas, multiplexador, flip flop... Etc) e no brasil? Nunca achei!

Mas infelizmente isso é uma coisa beem difícil de mudar... Cultura, jeito de pensar ou habitos, vem desde todo o passado da nação...

Link para o comentário
Compartilhar em outros sites

Engenharia de verdade, é saber projetar, desde uma coisa analogica, ate coisas mais complexas e modernas!

Com uma certa certeza, ele nem sabe a diferenca de TTL e CMOS, fale se souber!

Eu estudei TTL, e CMOS, é o que faz eu saber todos os problemas de um e do outro, o porque, projeto, etc...

Estudando TTL nao me fez desaprender o CMOS, so incluiu, é aprendizagem pow, ate Valvula aprendi!!! Kkkkkk

Hoje em dia, estão fazendo tudo com microcontrolador ou arduino, o pessoal fazem projetos com eles, mas eles fazem projetos discreto? Tipo um amplificador transistorizado? Uma fonte chaveada? Nao fazem... So aprendem o que um transistor faz, aprende algumas certas polarizacoes, mas usar todos os componentes e projetar tal coisa nao fazem!

Aprendem a programar um arduino e usar, mas nao aprendem as estruturas de um micro controlador, suas logicas, arquitetura... Só vão saber programar e usar, mas fazer nao!

Engenheiro é isso, saber projetar qualquer coisa, seja alguma coisa com valvula, um amplificador transistorizado.. Uma fonte chaveada... Algum circuito logico, seja desde projetar sua logica discreta, e com ci...projetar Um processador...

Esse sim, vai ser um grande engenheiro!!

Mas o engnheiro de hoje, sabe basicamente programar e usar um arduino, polarizar um transistor em emissor comum/coletor comum, usa lo como uma chave, talvez fazer um amplificadorsinho de tensão em classe A, transistor com resistor de emissor e coletor, acho que ate isso...

Nao sao capazes de projetar circuitos controladores complexos discreto, que envolva integracoes e varios tipos de malhas complexas com centenas de transistores, tudo junto...

Se no USA os engenheiros so aprendessem o que se usa hoje em dia, ja teria falido as empresas que fabricam os propios dispositivos que vocês usam hj! Intel nao conseguiria mais contratar... Iria falir.. Apple nao seria mais capaz de projetar seus chips processadores para seus celulares, etc... AMD, microchip, atmel, nao fariam mais os microcontroladores que vocês pegam prontinho e usam pra programar...

Brasil nao faz nada mesmo, então pode se considerar algumas coisas:

No brasil, os engenheiros nao precisaram fazer projetos de nivel, então estão salvos kkkk

Agora, pra refletir, ou os engenheiros nao sao bons porque Nao precisa mesmo kkkkk, ou é o brasil que nao projeta nada devido que nao tem engenheiros competentes...

Eu aposto na segunda, pois industrias nasce quando se tem competencia...

Conclusão: é necessario que se aprenda tudo da eletronica, se é engenheiro então deve saber projetar tudo, ate um micro processador com portas logicas OR AND NOT! Nao existe a frase: "isso é tecnologia antiga" "nao se usa mais isso, você nao vai precisar usar ou saber disso" um engenheiro e projetista mesmo, sabe tanto TTL e CMOS, mesmo nao usando TTL.

Ja que é um ensino, o ideal que se aprenda tudo mesmo! Isso que lhe fara ser maior que o demais.

Quando eu era mais jovem, preferia sempre projetar coisas discreta do que com CI, muita gente criticava, mas e agora? A diferença e absurda kkkkk

Enfim, o ensino brasileiro é pessimo e atrasado, no 3° ainda vendo esse tipo de coisa? Ja começa por ai! Com 2 anos de estudo de eletronica eu ja projetava amplificadores de audio transistorizados e fontes chaveadas... E tantas coisas discretas... Ate ai Nunca tinha visto microcontroladores, comecei a estudar eles em um dia e em 3 dias ja estava programando em assembly, e pior, eu aprendi a programar em BINARIO, eu monto meu programa em binario, ja pronto pra ser escrito na memoria e rodar, exatamente como fazia la antigamente, com programas, acho que muitos nem sabem fazer tudo com as próprias maos, é velharia mesmo mas a recompensa vem!!! Kkkk E em 5 dias ja estava formando a ideia de projetar um microprocessador, e em duas semanas projetei um de 8 bits! Projetei mesmo, tudo com portas logicas, ate a memoria ram, fiz desde os registradores, enderecadores.. Etc... Isso tudo porque estudei a eletronica velha dos anos 70! Estudava esquemas de radios transistorizados dessa epoca, sintonizadores... Tudo era transistorizado! Sempre pensei dessa forma, me resultou nisso! E se eu falasse a minha idade... Ninguem acreditaria!!! Nem sequer me alistei! :P tenho muito que aprender ainda, e continuo vom esse jeito de aprender, sempre saber o comeco de tudo!!

O curso dele é engenharia da computacao, nao é uma engenharia eletronica, nao precisava de tanto, mas ja que estavam levantando critica sobre o assunto... :P kkkkk

Concordo com tudo o que você disse, o ensino no país é uma *****, atualmente estou estudando com o livro Fundamentos de Circuitos Elétricos ja faz um tempo, quando eu me pronunciei para meu colegas a respeito da tensão eficaz e tensão média eu fiquei assustado, os sujeitos estão no 6 semestre de faculdade e não sabiam nada a respeito e ainda zombaro da minha cara falando que não era necessário ficar fazendo integral. Afinal todos eles estudam em cima da hora para passar nas provas de calculos e depois nunca mais usam e esquecem tudo, porque eles esquecem tudo ? eles esquecem tudo devido a falta de interesse de resolver problemas ou desenvolver um projeto, sendo assim, eles pegam o que tiver pronto e usam, eu falo isso porque na minha faculdade o sujeto esta se formando em eletrônica industrial e faz um tcc meia boca com arduino.

Link para o comentário
Compartilhar em outros sites

Concordo com tudo o que você disse, o ensino no país é uma *****, atualmente estou estudando com o livro Fundamentos de Circuitos Elétricos ja faz um tempo, quando eu me pronunciei para meu colegas a respeito da tensão eficaz e tensão média eu fiquei assustado, os sujeitos estão no 6 semestre de faculdade e não sabiam nada a respeito e ainda zombaro da minha cara falando que não era necessário ficar fazendo integral. Afinal todos eles estudam em cima da hora para passar nas provas de calculos e depois nunca mais usam e esquecem tudo, porque eles esquecem tudo ? eles esquecem tudo devido a falta de interesse de resolver problemas ou desenvolver um projeto, sendo assim, eles pegam o que tiver pronto e usam, eu falo isso porque na minha faculdade o sujeto esta se formando em eletrônica industrial e faz um tcc meia boca com arduino.

Pois é! um nerd ja vai sair de la com poucos conhecimentos, devido ao ensino deficiente, agora imagina esses que nao se interessam....

  • Curtir 1
Link para o comentário
Compartilhar em outros sites

Visitante
Este tópico está impedido de receber novas respostas.

Sobre o Clube do Hardware

No ar desde 1996, o Clube do Hardware é uma das maiores, mais antigas e mais respeitadas comunidades sobre tecnologia do Brasil. Leia mais

Direitos autorais

Não permitimos a cópia ou reprodução do conteúdo do nosso site, fórum, newsletters e redes sociais, mesmo citando-se a fonte. Leia mais

×
×
  • Criar novo...