Ir ao conteúdo
  • Cadastre-se

Vhdl


moskaa

Posts recomendados

E ai galera tudo bem? eu gostaria de saber qual o proposito do VHDL? o que você obtém quando programa um código em VHDL é um circuito que você pode vender? tipo fazer mil circuitos iguais o que você projetou .

Também gostaria de saber qual a vantagem em usar VHDL em vez de microcontroladores estilo PIC , eu estou com essa duvida pois estou pensando em estudar VHDL para trabalhar com hardware/eletronica.

Também gostaria de saber se hoje em dia um profissional em vHDL é bem visto pelo mercado de trabalho.

Att

André

Link para o comentário
Compartilhar em outros sites

Salve, moskaa.

Não conheço muito disso não, mas, o que eu sei de VHDL, é que é uma linguagem de programação dedicada a dispositivos FPGA e CLPs.

Com um circuito integrado FPGA, você pode substituir complexos sistemas digitais, quer dizer, com um único integrado, você pode substituir um aparelho inteiro.

Com um deles, você pode, inclusive, CRIAR o seu próprio microcontrolador.

Se você usa um compilador, comprado ou oferecido gratuitamente pelo fabricante, o que você desenvolve com ele, é de propriedade intelectual sua. Sua solução, você pode dar, vender, alugar, enfim, fazer o que quiser.

[]'s

Link para o comentário
Compartilhar em outros sites

  • 2 meses depois...
putz , alguém que saiba?

Fala ai beleza.

Como ja foi dito, VHDL é um código utilizado para programar CPLD's, FPGA's, que são dispositivos lógicos programáveis.

Estou aprendendo utilizar este codigo.

Os CPLD's e FPGA's são CI's programaveis que substitui as portas logicas, flip-flop's, contadores, decodificadores e outros. Se quiser saber mais me manda e-mail.

falou

Link para o comentário
Compartilhar em outros sites

  • 7 anos depois...

Boa noite pessoal!!!
Sou especializado em programação em VHDL 

E hoje registro um pouco da minha experiencia nesse site onde você pode obter mais informações sobre essa linguagem incrível que pe o VHDL!!

http://www.digital4innovation.com.br/o-que-e-vhdl/

Em um breve resumo posso afirmar que o VHDL é a linguagem mais útil já inventada pelo ser humano!!
Graças à descrição de hardware que hoje é possível fabricar processadores e diversas arquiteturas como MIPS, ATMEGA e etc.
Bom sei que o fórum é antigo mas para as gerações que ainda o visitarem, espero ter ajudado

Matheus J. Colhyêr
Especialista em VHDL

Link para o comentário
Compartilhar em outros sites

O VHDL (Very High Speed Integrated Circuits Hardware Description Language) é uma liguagem utilizada para descrever hardware, como o próprio nome sugere. Lembrando, que não é linguagem de programação e as linhas de código não são executadas sequencialmente, mas sim concorrentemente.

O VHDL é utilizado para descrever portas lógicas, pinos, conexões, etc de um projeto. Não se parte direto para a escrita do código VHDL, primeiramente você deve fazer o projeto, todos os esquemas e por fim o escreve em VHDL para testá-lo e até produzir o chip de silício a partir do arquivo.

O FPGA é um ambiente de simulação que se "molda" ao hardware descrito com limites de desempenho e com finalidade de testes, para que posteriormente o circuito possa ser produzido em larga escala.

 

Apenas lembrando que o ATMEGA não é um microprocessador como o MIPS, mas sim um microcontrolador.

Link para o comentário
Compartilhar em outros sites

Visitante
Este tópico está impedido de receber novas respostas.

Sobre o Clube do Hardware

No ar desde 1996, o Clube do Hardware é uma das maiores, mais antigas e mais respeitadas comunidades sobre tecnologia do Brasil. Leia mais

Direitos autorais

Não permitimos a cópia ou reprodução do conteúdo do nosso site, fórum, newsletters e redes sociais, mesmo citando-se a fonte. Leia mais

×
×
  • Criar novo...

 

GRÁTIS: ebook Redes Wi-Fi – 2ª Edição

EBOOK GRÁTIS!

CLIQUE AQUI E BAIXE AGORA MESMO!